Wednesday, 31 October 2018

questasim_commands


source run.do
log -r *         -->generating the .wlf file
run -all          -->run the simulation until $finish
quit -sim        -->quit the simulation
Vsim -c  -->used for questasim terminal itself
Vsim -I   -->used for open questasim gui mode
Vsim -gui  -->used for open questasim gui interactive mode


/***********************************running through makefile********************************/

comp:
vlib work
vlog -f flist

sim:
vsim -c tb_top
run -all
vsim -c tb_top -do "log -r *;run -all"    -->create .wlf file as well


simulate:        

vsim -coverage -vopt -c +access +rw mc_tb +UVM_TESTNAME=$(test) +UVM_VERBOSITY=UVM_FULL -novopt -do run.do|tee $ ./logfiles/$(test)_run.log 


gui:
  vlib work
vlog -f flist
vsim -gui work.tb -novopt -l sim_gui.log -wlf waveform.wlf

vsim -novopt -assertdebug +UVM_VERBOSITY=UVM_LOW uvm_sva_ex

#vsim -novopt work.tb -l sim_gui.log -wlf waveform.wlf

No comments:

Post a Comment

What do 10 nm and 15 nm stand for in VLSI? nm=nano meter                     So, 10nm means in digital elections perspective the dist...

Design verification Engineer